Sökning: "embedded domain-specific languages"

Visar resultat 1 - 5 av 8 uppsatser innehållade orden embedded domain-specific languages.

  1. 1. A Language for Board Games – Development of an Embedded Domain-Specific Language for Describing Board Games

    Kandidat-uppsats, Göteborgs universitet/Institutionen för data- och informationsteknik

    Författare :Edvin Alestig; Joel Ericson; Erik Eriksson; Lukas Schiavone; Filip Torphage; Joakim Tubring; [2023-03-03]
    Nyckelord :DSL; domain-specific languages; Haskell; functional programming; board games; game theory; domänspecifika språk; funktionell programmering; brädspel; spelteori;

    Sammanfattning : In recent years board games have increasingly found themselves in the digital medium. One way to enable easier creation of digital board games is to create a domainspecific language (DSL) for that purpose. This thesis details the process of developing an embedded DSL for describing board games with Haskell as its host language. LÄS MER

  2. 2. EDPM : An embedded domain-specific language for performance monitoring C and C++ programs

    Kandidat-uppsats, Blekinge Tekniska Högskola/Institutionen för programvaruteknik

    Författare :David Weisskopf Holmqvist; [2023]
    Nyckelord :performance monitoring; domain-specific languages; language abstractions; compilers;

    Sammanfattning : Manual insertion of performance monitoring probes gives programmers great control over the performance counters collected, but brings with it problems such as increased code size, obfuscated logic in code due to intermingling of application logic and performance probes, and time and mental cost required to learn the APIs of different performance monitoring tools. EDPM is an embedded domain-specific language that seeks to raise the level of abstraction for marking regions of code that need to be instrumented in C and C++ programs, designed to be easy to learn for programmers and to reduce the lines of code needed to collect performance counters. LÄS MER

  3. 3. Prototyping a formal system modeling workbench in the java ecosystem : A Domain Specific Language in Groovy

    Kandidat-uppsats, KTH/Skolan för elektroteknik och datavetenskap (EECS)

    Författare :Joakim Savegren; Joar Edling; [2022]
    Nyckelord :ForSyDe; Models of Computation; Domain Specific Language DSL ; Data-flow; Embedded Software; Modeling; Programvara för inbyggda system; ForSyDe; Beräkningsmodeller; Domänspecifika språk; Data flöde; Modellering;

    Sammanfattning : Modeling is a fundamental property in today’s development of embedded systems. Models of computation enable us to describe the functionality and characteristics of a system on a higher abstraction level which gives the designer great insight in the behavior of the final implemented system at a very early stage in the design process. LÄS MER

  4. 4. Pattern Matching for Non-inductive Types in Code-generating Haskell EDSLs

    Master-uppsats, Göteborgs universitet/Institutionen för data- och informationsteknik

    Författare :Thomas Li; [2021-09-20]
    Nyckelord :haskell; haski; edsl; pattern matching; embedded domain-specific languages; functional programming;

    Sammanfattning : Internet of Things (IoT) devices are becoming increasingly common in the modern home, as are IoT-related security vulnerabilities. There are reasons to believe that many of these vulnerabilities were caused by programming errors made possible due to working in a low-level programming language. LÄS MER

  5. 5. Building Programming Languages, Construction by Construction

    Uppsats för yrkesexamina på avancerad nivå, KTH/Skolan för elektroteknik och datavetenskap (EECS)

    Författare :Viktor Palmkvist; [2018]
    Nyckelord :domain-specific language; programming language construction;

    Sammanfattning : The task of implementing a programming language is a task that entails a great deal of work. Yet much of this work is similar for different programming languages: most languages require, e.g., parsing, name resolution, type-checking, and optimization. LÄS MER